lvds差分信号工作原理(关于LVDS电平及其匹配电路的学习笔记)

LVDS定义:

即Low Voltage Differential Signaling,是一种低压差分信号技术接口。它是美国NS公司(美国国家半导体公司)为克服以TTL电平方式传输宽带高码率数据时功耗大、EMI电磁干扰大等缺点而研制的一种数字视频信号传输方式。

LVDS输出接口利用非常低的电压摆幅(约350mV)在两条PCB走线或一对平衡电缆上通过差分进行数据的传输,即低压差分信号传输。采用LVDS输出接口,可以使得信号在差分PCB线或平衡电缆上以几百Mbit/s的速率传输,由于采用低压和低电流驱动方式,因此,实现了低噪声和低功耗。

lvds差分信号工作原理(关于LVDS电平及其匹配电路的学习笔记)(1)

目前,流行的LVDS技术规范有两个标准:一个是 TIA/EIA(电讯工业联盟/电子工业联盟)的ANSI/TIA/EIA-644标准,另一个是 IEEE 1596.3标准。1995年11月,以美国国家半导体公司为主推出了ANSI/TIA/EIA-644标准。1996年3月,IEEE公布了IEEE 1596.3标准。这两个标准注重于对LVDS接口的电特性、互连与线路端接等方面的规范,对于生产工艺、传输介质和供电电压等则没有明确。LVDS可采 用CMOS、GaAs或其他技术实现,其供电电压可以从 5V到 3.3V,甚至更低;其传输介质可以是PCB连线,也可以是特制的电缆。标准推荐的最高数据传输速率是655Mbps,而理论上,在一个无衰耗的传输线上,LVDS的最高传输速率可达1.923Gbps。

特点:
  1. 电流驱动,通过在接收端放置一个负载而得到电压,当电流正向流动,接收端输出为1,反之为0。

  2. 摆幅为250mv-450mv。

【1】- 问题:LVDS15,LVDS18,LVDS25,LVDS33能否兼容连接?

XILINX LVDS接口兼容性:(https://www.xilinx.com/support/answers/43989.html)

如果你通过LVDS接口,你可以遵循下面的流程图中的步骤来确保你正确使用LVDS满足所有的要求。

lvds差分信号工作原理(关于LVDS电平及其匹配电路的学习笔记)(2)

XILINX FPGA的HP管脚接口兼容性

lvds差分信号工作原理(关于LVDS电平及其匹配电路的学习笔记)(3)

XILINX FPGA的HR管脚接口兼容性

XILINX 7系列FPGA允许接入不同电平的LVDS信号,同时允许使用LVDS25输入的时候VCCO供电不为2.5V;输出LVDS25的时候,VCCO必须为2.5V。

lvds差分信号工作原理(关于LVDS电平及其匹配电路的学习笔记)(4)

关于LVDS兼容性的描述

设计注意事项:

lvds差分信号工作原理(关于LVDS电平及其匹配电路的学习笔记)(5)

设计注意

【2】- 问题:LVDS接口的输入输出匹配。

不同电平的LVDS参数:

lvds差分信号工作原理(关于LVDS电平及其匹配电路的学习笔记)(6)

LVDS 2.5V的电平描述

差分驱动器FIN1001的输出标准:

lvds差分信号工作原理(关于LVDS电平及其匹配电路的学习笔记)(7)

LVDS 3.3V的电平描述

直接端接模式(外部端接电阻及内部端接电阻;适用于相同标准的接口)

lvds差分信号工作原理(关于LVDS电平及其匹配电路的学习笔记)(8)

直接端接模式

外部AC耦合端接方式(推荐)

lvds差分信号工作原理(关于LVDS电平及其匹配电路的学习笔记)(9)

外部AC耦合端接

,

免责声明:本文仅代表文章作者的个人观点,与本站无关。其原创性、真实性以及文中陈述文字和内容未经本站证实,对本文以及其中全部或者部分内容文字的真实性、完整性和原创性本站不作任何保证或承诺,请读者仅作参考,并自行核实相关内容。文章投诉邮箱:anhduc.ph@yahoo.com

    分享
    投诉
    首页